欢迎来到一句话经典语录网
我要投稿 投诉建议
当前位置:一句话经典语录 > 心得体会 > 秒表系统的设计心得体会

秒表系统的设计心得体会

时间:2017-10-24 01:42

用定时器计数器设计一个简单的秒表

目录摘要I1Proteus简介12主要相关硬件介绍22.1AT89C52简介22.2四位数码管42.374LS139芯片介绍53设计原理54电路设计64.1电路框图设计64.2电路模块介绍74.2.1控制电路74.2.2译码电路74.2.3数码管显示电路74.3仿真电路图85设计代码86仿真图127仿真结果分析148实物图149心得体会15参考文献16摘要现在单片机的运用越来越宽泛,大到导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯与数据传输、工业自动化过程的实时控制和数据处理,小到广泛使用的各种智能IC卡、各种计时和计数器等等。

本次课设我们要设计一个能显示计时状态和结果的秒表,它是基于定时器\\\/计数器设计一个简单的秒表。

本次设计的数字电子秒表系统采用AT89C51单片机为中心器件,利用其定时器\\\/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。

将软、硬件有机地结合起来,使得系统能够实现四位LED显示,显示时间为0~99.99秒,计时精度为0.01秒,能正确地进行计时,并显示计时状态和结果。

其中软件系统采用汇编或者C语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在keil中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。

关键词:秒表,AT89C51,proteus,C语言1Proteus简介Proteus是世界上著名

电子秒表设计电路图

电子秒表的设计一、设计要求…………………………………………………2二、设计的目的与作用………………………………………2三、设计的具体体现………………………………………21.电子秒表的基本组成………………………………32.电子秒表的工作原理…………………………………33.电子秒表的原理图……………………………………44.单元电路设计…………………………………………45.设计仿真与PCB制版…………………………………12四、心得体会…………………………………………………17五、附录………………………………………………………18六、参考文献…………………………………………………20一、设计要求1.以0.01秒为最小单位进行显示。

2.秒表可显示0.01~59:59:99秒的量程。

3.该秒表具有清零、开始计时、停止计时功能。

二、设计方案方案一:通过单片机来实现电子秒表基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。

方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。

经过比较选择了较为经济适用的数字电路。

二、设计的目的与作用1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。

通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。

2.熟悉555

基于vhdl电子秒表的系统设计怎么做

一、实验原理 :  用层次化设计的方法以VHDL语言编程实现以下功能:  【1】具有“时”、“分”、“秒”计时功能;时为24进制,分和秒都为60进制。

  【2】具有消抖功能:手工按下键盘到是否这个过程大概50ms左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在达到稳定接触和完全分开的微观过程中,电平是时高时低的,因此如果在首次检测到键盘按下时延时10ms再检测就不会检测到抖动的毛刺电平了。

64Hz的信号周期为15.6ms,正适合做消抖信号。

  【3】具有校时和清零功能,能够用4Hz脉冲对“小时”和“分”进行调整,并可进行秒零;  【4】具有整点报时功能。

在59分51秒、53秒、55秒、57秒发出低音512Hz信号,在59分59秒发出一次高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。

  【5】 具有一键设定闹铃及正常计时与闹铃时间的显示转换。

闹时时间为一分钟。

  二、程序流程:  1、秒计数器模块设计:  模块图如图1。

六十进制带进位计数器,可清零,clk输入信号为1Hz脉冲,当q0计满9后q1增加1,当q0满9且q1记满5,q1、q0同时归零,co输出为高电平。

q1为十位q0为个位。

  图1  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity c60 is  Port ( clk,clr : in std_logic;  co :out std_logic;  q1,q0 : out std_logic_vector(3 downto 0));  end c60;  architecture one of c60 is  begin  process (clk,clr)  variable cq1,cq0:std_logic_vector(3 downto 0);  begin  if clr='1' then cq1:=(others=>'0');cq0:=(others=>'0');  elsif (clk'event and clk='1') then  if cq0<9 then cq0:=cq0 +1;co<='0';  elsif cq1<5 then cq1:=cq1+1;cq0:=(others=>'0');  elsif cq1=5 and cq0=9  then co<='1';cq1:=(others=>'0'); cq0:=(others=>'0');  else co<='0';  end if;  end if;  q1<=cq1;  q0<=cq0;  end process;  end one;  仿真结果如下图2  2、分计数器同上。

注:不同之处为分的clk输入信号为秒的进位信号。

  3、时计数器:  模块图如图3。

24进制无进位计数器,当计数信号计到23后再检测到计数信号时会自动零。

带清零,clk输入为分秒进位相与的结果。

q1为十位,q0为个位。

  图3  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity c24 is  Port ( clk : in std_logic;  q1,q0 : out std_logic_vector(3 downto 0));  end c24;  architecture one of c24 is  begin  process (clk)  variable cq1,cq0:std_logic_vector(3 downto 0);  begin  if (clk'event and clk='1') then  if cq1=0010 and cq0=1001 then  cq1:=0000; cq0:=0000;  elsif cq0<1001 then  cq0:=cq0+1;  else cq0:=0000; cq1:=cq1+1;  end if;  end if;  q1<=cq1;q0<=cq0;  end process;  end one;  仿真波形如下图4:  图4  4、分频器:  模块图如图5。

由四个分频器构成,输入信号in_clk为1024Hz脉冲信号。

把输入的1024Hz信号分频为四个脉冲信号,即1Hz的秒脉冲,4Hz的校时、校分脉冲,64Hz的消抖脉冲以及512Hz的蜂鸣器低音输入。

  图5  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity div is  Port ( in_clk : in std_logic;  clk_512, clk_1,clk_4 ,clk_64:out std_logic);  end div;  architecture one of div is  signal q512,a,b,c:std_logic;  signal c1,c4,c64:integer range 512 downto 0;  begin  process(in_clk)  begin  if in_clk'event and in_clk='1' then  q512<=not q512;  if c64>=7 then c64<=0;c<=not c;else c64<=c64+1;end if;  if c4>=127 then c4<=0;b<=not b;else c4<=c4+1;end if;  if c1>=511 then c1<=0;a<=not a;else c1<=c1+1;end if;  end if;  end process;  clk_512<=q512;  clk_1<=a;  clk_4<=b;  clk_64<=c;  end one;  仿真波形如下图6:  图6  5、消抖:  模块图如图7。

分频出的用64Hz信号对sa校时信号、sb校分信号、sc秒清零信号、sd闹时设置信号进行防抖动处理。

是由四个两级d触发器构成的,分别对输入的sa、sb、sc、sd  信号的相邻两个上升沿进行比较以确定按键的按下,从而达到消抖的目的。

  图7  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity xd is  Port ( clk_64 : in std_logic;  hj,mj,sclr,sdo :out std_logic;  sa,sb,sc,sd : in std_logic);  end xd;  architecture one of xd is  begin  process(clk_64)  variable sa_n,sa_p,sb_n,sd_n,sb_p,sc_n,sc_p,sd_p:std_logic;  begin  if clk_64'event and clk_64='1' then  sa_p:=sa_n;sa_n:=sa;  sb_p:=sb_n;sb_n:=sb;  sc_p:=sc_n;sc_n:=sc;  sd_p:=sd_n;sd_n:=sd;  if sa_p= sa_n then hj<=sa;end if;  if sb_p= sb_n then mj<=sb;end if;  if sc_p= sc_n then sclr<=sc;end if;  if sd_p= sd_n then sdo<=sd;end if;  end if;  end process;  end one;  仿真波形如下图8:  图8  6、闹钟时间的设定:  模块图如图9。

一键设定闹铃时间,内部由四个d触发器构成。

当确定sd键按下时,将当前时间的小时和分的个位十位分别存入四个d触发器内,作为闹时时间。

  图9  程序如下  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity df4 is  Port ( sd :in std_logic;  hh,hl,mh,ml : in std_logic_vector(3 downto 0);  hh_o,hl_o,mh_o,ml_o: out std_logic_vector(3 downto 0));  end df4;  architecture one of df4 is  begin  process (sd,hh,hl,mh,ml)  begin  if sd='1' then  hh_o<=hh;hl_o<=hl;mh_o<=mh;ml_o<=ml;end if;  end process;  end one;  仿真波形如下图10:  图10  7、二选一电路  (1)一位二选一:  模块图如图11。

用以进行正常计时和校时\\\/分的选择。

alarm为经过消抖的校时\\\/分信号。

当按键未曾按下时,即校时\\\/分信号没有到来时,二选一选择器会选择输出a(正常计时输入)信号,否则当alarm按键按下时输出y为校时\\\/分输入信号——4Hz。

  图11  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity xuan21 is  Port ( alarm,a,b: in std_logic;  y:out std_logic);  end xuan21 ;  architecture one of xuan21 is  begin  process(alarm,a,b)  begin  if alarm='0' then y<=a;else y<=b;  end if;  end process;  end one;  仿真波形如下图12:  图12  (2)三位二选一:  模块图如图13。

用以进行正常计时时间与闹铃时间显示的选择,alarm输入为按键。

当alarm按键未曾按下时二选一选择器会选择输出显示正常的计时结果,否则当alarm按键按下时选择器将选择输出显示闹铃时间显示。

  图13  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity x213 is  Port ( alarm : in std_logic;  y:out std_logic_vector(3 downto 0);  a,b: in std_logic_vector(3 downto 0));  end x213;  architecture one of x213 is  begin  process(alarm,a,b)  begin  if alarm='0' then y<=a;else y<=b;  end if;  end process;  end one;  仿真结果如下图14:  图14  8、整点报时及闹时:  模块图如图15。

在59分51秒、53秒、55秒、57秒给扬声器赋以低音512Hz信号,在59分59秒给扬声器赋以高音1024Hz信号,音响持续1秒钟,在1024Hz音响结束时刻为整点。

当系统时间与闹铃时间相同时给扬声器赋以高音1024Hz信号。

闹时时间为一分钟。

  图15  程序如下:  library IEEE;  use IEEE.STD_LOGIC_1164.ALL;  use IEEE.STD_LOGIC_ARITH.ALL;  use IEEE.STD_LOGIC_UNSIGNED.ALL;  entity voice is  Port ( hou1,huo0,min1,min0,sec1,sec0,hh,hl,mh,ml: std_logic_vector(3 downto 0);  in_1000,in_500:in std_logic;  q : out std_logic);  end voice;  architecture one of voice is  begin  process(min1,min0,sec1,sec0)  begin  if min1=0101 and min0=1001 and sec1=0101 then  if sec0=0001 or sec0=0011 or sec0=0101 or sec0=0111  then q<=in_500;  elsif sec1=0101 and sec0=1001 then q<=in_1000;  else q<='0';  end if;  else q<='0';  end if;  if min1=mh and min0=ml and hou1=hh and huo0=hl then  q<=in_1000;  end if;  end process;  end one;  仿真波形如下图16  图16  9、顶层原理图:  三、感想  通过这次设计,既复习了以前所学的知识,也进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

但是在画顶层原理图时,遇到了不少问题,最大的问题就是根本没有把各个模块的VHD文件以及生成的器件都全部放在顶层文件的文件夹内,还有就是程序设计的时候考虑的不够全面,没有联系着各个模式以及实验板的情况来编写程序,以至于多考虑编写了译码电路而浪费了很多时间。

在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示  :在分频模块中,设定输入的时钟信号后,却只有二分频的结果,其余三个分频始终没反应。

后来,在数十次的调试之后,才发现是因为规定的信号量范围太大且信号的初始值随机,从而不能得到所要的结果。

还有的仿真图根本就不出波形,怎么调节都不管用,后来才知道原来是路径不正确,路径中不可以有汉字。

真是细节决定成败啊

总的来说,这次设计的数字钟还是比较成功的,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。

  四、参考资料:  1、潘松,王国栋,VHDL实用教程〔M〕.成都:电子科技大学出版社,2000.(1)  2、崔建明主编,电工电子EDA仿真技术北京:高等教育出版社,2004  3、李衍编著,EDA技术入门与提高王行西安:西安电子科技大学出版社,2005  4、侯继红,李向东主编,EDA实用技术教程北京:中国电力出版社,2004  5、沈明山编著,EDA技术及可编程器件应用实训北京:科学出版社,2004  6、侯伯亨等,VHDL硬件描述语言与数字逻辑电路设计西安: 西安电子科技大学出版社,1997  7、辛春艳编著,VHDL硬件描述语言北京:国防工业出版社,2002

java怎样设计一个数字秒表

思路: 1.声明变量:【开始时间】,【结束时间】,【总时间】。

都声明成long类型。

2.建立四个按钮,【开始】【暂停】【继续】【停止】 3.【开始】绑定方法:把系统当前时间赋值给【开始时间】=System.currentTimeMillis(); 4.【暂停】绑定方法:把系统当前时间赋值给【结束时间】=System.currentTimeMillis(); 然后【结束时间】减去【开始时间】的值赋给【总时间】并显示出来。

5.【继续】绑定方法:把系统当前时间赋值给【开始时间】=System.currentTimeMillis(); 6.【停止】绑定方法:把系统当前时间赋值给【结束时间】=System.currentTimeMillis(); 然后【结束时间】减去【开始时间】的值赋给【总时间】并显示出来。

用51单片机做秒表设计

遇到懒学生了,这个不就是一个定时器加显示吗,有什么难的,自己翻翻微机原理书就可以做 了,也就四五十行汇编语言就行了,用C就更加简单,具体的方法:用定时器定时0.2ms,定时到了就给固定的一个变量加1,然后判断这个变量是不是到了500,到了就清零然后把秒的变量加1,就是1s了,(分和时也是如此,秒为60,秒清零,分加1);主程序就只管显示和按键,按键随便用中断还是查询,我建议你查询,因为程序简单,显示程序就是查个表,自己把数码显示的断码表编好就可以了,把秒的数值查表对应显示,完了就查询按键,按键累加,值为0是没按,1是按1次,2是按2次,3是按3次,同时清零,很简单的

兄弟姐妹们我求助啊

单片机秒表、时钟的问题

系统设计1.实验内容摘要验利用单片机的定时器\\\/器定时和记数的,结合dvcc实验箱上的集成电路8032、LED数码管以及实验箱上的按键来设计计时器。

将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。

其中本实验设计了四个开关按键:其中一个按键按下去时以1秒加一开始计时,即秒表开始键(本实验中当开关从1变为0时开始计时),另一个按键按下去时暂停计时,使秒表停留在原先的计时(本实验中当相应开关从1变为0时即停止计时),第三个按键按下去时清0(本实验中当相应开关从1变为0时即停止计时),第四按键按下去则是以每10ms秒快速加一计时(本实验中当开关从1变为0时开始计时)。

本实验中开始时都要使各按键回到各初始位置,即都处于1状态。

关键词:LED数码管,计时器,2.功能:用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加1。

另设计一个“开始”按键和一个“复位”按键。

并且增加了一个“暂停”按键和一个“快加”按键(每10ms快速加一)3.实验目的: 3.1、 通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。

3.2、 掌握定时器、外部中断的设置和编程原理。

3.3、 通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。

4.意义: 该实验通过单片机的定时器\\\/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、快加功能,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义。

所做工作根据相关的单片机材料,利用所学的单片机知识,结合DVCC系列单片机微机仿真实验系统中的软件和硬件(集成电路芯片8032,七段数码管,开关电路及时钟信号电路,按键等),编写能够实现该项目的软件程序,最后将软、硬件有机的结合起来,进行有效的调试,达到完成该实验课程设计的目的要求。

5.实验内容: 用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。

另设计一个“开始”按键和一个“复位”按键。

再增加一个“暂停”按键和一个“快加”按键(每10ms快速加一)。

按键说明:按“开始”按键,开始计数,数码管显示从00开始每秒自动加1;按“复位”按键,系统清零,数码管显示00;按“暂停”按键,系统暂停计数,数码管显示当时的计数;按“快加”按键,系统每10ms快速加1,即数码显示管在原先的计数上快速加1。

6.设计思路及描述:该实验要求进行计时并在数码管上显示时间,则可利用DVCC系列单片机微机仿真实验系统中的芯片8032(芯片的功能类似于芯片AT89C51,其管脚功能也和AT89C51的管脚功能类似)中的P3.2管脚做为外部中断0的入口地址,并实现“开始”按键的功能;将P3.3做为外部中断1的入口地址,并实现“清零”按键的功能;将P3.0做为数据信号DATA输入的入口地址;将P3.1做为时钟信号CLK输入的入口地址。

定时器T0作为每秒加一的定时器;定时器T1作为“快加”键的定时器。

其中“开始”按键当开关由1拨向0(由上向下拨)时开始计时;“清零”按键当开关由1拨向0(由上向下拨)时数码管清零,此时若再拨“开始”按键则又可重新开始计时。

7.原理图:10、实验程序;******************************************************; 设计选题:秒表系统设计; 描述: 2位LED数码显示秒表,显示时间为00~99秒,每秒自动加1,; 一个开始键,一个复位键,一个暂停键,一个“快加”键。

; 调用子程序:暂停键子程序,计时键子程序,清0键子程序,加一子程序; 显示子程序,定时子程序;所用特殊寄存器:寄存器A,寄存器C;所用中断:外部中断INT0、INT1,定时器T0、T1; 实验作者: 薛艳—通信0401班09号;****************************************************** org 0000h ajmp main ;主程序入口地址 org 0003h ajmp zhongduan0 ;中断0入口地址 org 000bh ajmp yanshi ;定时器T0入口地址 org 0013h ajmp zhongduan1 ;中断1入口地址 org 001bh ajmp dingshi1 ;定时器T1入口地址 org 0030h;***************************************************** ; 主程序;***************************************************** main: mov tcon,#05h ;主程序开始 外部中断跳变模式 mov tmod,#11h ;定时器0,1模式1 mov ie,#8fh ;开总中断,中断0,1,定时器0,1 mov dptr,#tab mov r1,#00h mov r2,#00h mov r3,#40 ;循环次数40 mov tl0,#2Ch ;置初值,定时25MS mov th0,#0CFh mov tl1,#78h ;置初值,定时10MS mov th1,#0ech clr tr0 ;关定时器 clr tr1;***************************************************** ; 暂停键K3,快加键K4程序;***************************************************** here:jb p1.0,hereshow:clr tr1 clr tr0 acall xianshikuaijia:jb p1.2,kuaijia ;等待P1.2为0 快加 clr tr0 setb tr1here3:jnb p1.2,here3 ajmp here;***************************************************** ; 外部中断INT0子程序-----计时按键K1子程序;***************************************************** zhongduan0: setb tr0 ;计时按键 reti;***************************************************** ; 外部中断INT1子程序----复位按键K2子程序;***************************************************** zhongduan1:clr tr0 ;复位按键 clr tr1 mov 12h,#00h mov 11h,#00h acall xianshi ;调用显示子程序 mov r1,#00h mov r2,#00h reti;***************************************************** ; 加一子程序;***************************************************** jia1: inc r1 ;加1子程序 cjne r1,#0ah ,loop ;判断是否到表尾 mov r1,#00h inc r2 cjne r2,#0ah,loop mov r2,#00hloop: mov 12h,r1 ;重新赋值 mov 11h,r2 ret;***************************************************** ; 显示子程序;***************************************************** xianshi: mov r7,#02h ;2个数码管显示子程序 mov r0,#12hloop5: mov r6,#08h ;8位2进制数 mov a,@r0 movc a,@a+dptrloop6: rlc a ;循环左移 clr p3.1 mov p3.0,c setb p3.1 djnz r6,loop6 dec r0 djnz r7,loop5 ret;***************************************************** ; 定时器T0子程序;***************************************************** yanshi: mov tl0,#2Ch ;定时子程序 mov th0,#0CFh djnz r3,loop7 acall jia1 ;调用加1子程序 acall xianshi ;调用显示子程序 mov r3,#40loop7: reti;***************************************************** ;定时器T1子程序;***************************************************** dingshi1:mov tl1,#78h ;置初值,定时10MS mov th1,#0ech clr tr0 setb tr1 mov 12h,r1 mov 11h,r2 jnb p1.0,show acall jia1 acall xianshilop7: reti;***************************************************** tab:db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh end十一、课程设计心得体会1、 认真审题,看懂题目的要求

选择适当的课题,不益太简单或者太难。

做到既能把课题完成又能锻炼自己的能力

2、 根据课题要求,复习相关的知识,查询相关的资料。

3、 根据实验条件,找到适合的方案,找到需要的元器件及工具,准备实验。

4、 根据课程设计的要求和自己所要增加的功能写好程序流程图,在程序流程图的基础上,根据芯片的功能写出相应的程序。

然后再进行程序调试和相应的修改,以达到能够实现所要求的功能的目的。

5、 还要根据实验的实际情况,添加些额外程序来使系统更加的稳定,如开关的消震荡(采用延迟)。

6、 程序要尽量做到由各个子程序组成,在有些程序后面最好加注释,这样在程序出错的检查过程中可以更容易查找的到,也更简洁,更明白易懂。

7、 该实验的程序可以参考DVCC系列单片机微机仿真实验系统实验指导书中的串并转换实验,也可自己根据自己熟悉的方法来编程。

8、 在设计控制开关时,注意2个中断的打开和关闭的先后顺序,否则就会出错。

9、 这次的单片机课程设计重点是理论与实际的相结合。

不再只读书了。

10、 该设计从头到尾都要自己参与,熟悉了对整个设计的过程,更系统的锻炼了自己。

声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。联系xxxxxxxx.com

Copyright©2020 一句话经典语录 www.yiyyy.com 版权所有

友情链接

心理测试 图片大全 壁纸图片